Your comments

Здравствуйте, Александр! На данный момент альтернативы knxproj нет, но, в будущем, мы планируем универсальный экспорт и импорт таблицы драйверов в формате csv, информация о реализации появится в истории изменений iRidium studio 2019. На данный момент в студии доступен массовый выбор адресов для удаления (с ctrl и shift).

Замена лицензий производится бесплатно, но тип лицензии, которую вы получите ,зависит от конкретного типа вашей действующей лицензии. Пожалуйста, используйте форму обмена лицензий на сайте, в ней описаны условия. При необходимости, можно уточнить условия у менеджеров, по телефону.


It may mean that you added "timer" to your Scene command. Timers is only for requests, not for commands.
Send your project to support@iridiummobile.ru if you cannot find a reason

Все верно.
Чтобы конвертировать проект, нужно открыть его в iRidium Studio и сохранить как новый файл.

Чтобы получить тестовую лицензию, достаточно авторизоваться в Эмуляторе (и/или приложении i3 pro) с использованием вашего аккаунта с сайта iridiummobile.ru (аккаунта интегратора), лицензия начнет работать автоматически.

The command "Single Channel Read Target" do the same, you can use it.

If you set the channel as "Channel: 0" it will send the request to all the channels, don't need to request the each one

В v2 правок по звуку не вносилось.
Попробуйте приложение i3 pro, в v3 проводили работы по улучшению работы звукового движка.

1. Call "Scene 1"

2. Delay (1000ms)

3. Request the stratus of all Channels with command "Status onStart"

The connand "Status onStart" works on start automatically, but you can call it from button to request the status of call hannels at ANY moment.




When you use "Scene Control" command HDL equipment DOESN"T inform you about changes in lighting devices. It means you have to send the request of the new status of equipment after the scene command.

For example ON PRESS:
"Start Scene 1"
Delay (1000)
"Status On Start" - Relay 1
"Status On Start" - Relay 2
"Status On Start" - Relay 3

Then you'll receive the feedback as on project start